The Dutch firm that investors are going wild over is now creating a machine that could redefine electronics

FAN Editor

ASML’s EUV lithography machine is on display during the 4th China International Import Expo (CIIE) at the National Exhibition and Convention Center in Shanghai.

China News Service | China News Service | Getty Images

Dutch firm ASML, one of Europe’s hottest stocks, is working on a new version of its extreme ultraviolet lithography machine, which is used to carve patterns onto pieces of silicon that form the most advanced chips in the world.

Headquartered in Veldhoven, a small village near the Dutch city of Eindhoven, ASML is the only firm in the world capable of making these highly complex EUV machines — but it’s not stopping there.

The company’s current EUV machine is used by TSMC, Samsung and Intel to make chips that end up in the latest crop of computers and smartphones. But there’s a new version of the EUV machine in the pipeline, dubbed High NA, that could allow chipmakers to build even more sophisticated chips to power the next generation of electronic devices. NA stands for numerical aperture.

ASML was born in 1984 when electronics giant Philips and chip-machine manufacturer Advanced Semiconductor Materials International decided to create a new company to develop lithography systems for the growing semiconductor industry. Called ASM Lithography, the company began its work inauspiciously — in a shed next to a Philips office in Eindhoven.

Today, ASML is valued at $329 billion, and some tech investors expect it to be worth $500 billion by the end of 2022. It is the biggest tech company in Europe by market value and one of the biggest in the world. It employs over 31,000 employees across the Netherlands, the United States, South Korea, Taiwan and mainland China.

How EUV machines work

EUV machines shine exceptionally narrow beams of light onto silicon wafers that have been treated with “photoresist” chemicals. Intricate patterns are created on the wafer where the light comes into contact with the chemicals, which are carefully laid out beforehand. This process, which leads to the formation of the all-important transistors, is known as lithography.

Transistors are one of the basic building blocks of modern electronics, and they enable an electric current to flow around a circuit. Generally speaking, the more transistors you can fit onto a chip, the more powerful and efficient that chip will be.

Not every lithography system that ASML makes has EUV capabilities. EUV is the company’s latest technology, which it introduced for high-volume manufacturing a few years ago. DUV (deep ultraviolet) is still the workhorse of the industry.

Chris Miller, an assistant professor at the Fletcher School of Law and Diplomacy at Tufts University, told CNBC that chipmakers want to use the narrowest wavelength of light possible in lithography so that they can fit more transistors onto each piece of silicon. The TSMC chips in the latest Apple iPhones, which were created with ASML’s EUV machines, have around 10 billion transistors on them.

Developing the new machine

High NA is set to be even bigger, more expensive and more complicated than ASML’s current EUV machine.

“It includes a novel optics design and requires significantly faster stages,” an ASML spokesperson told CNBC. They added that the High NA machine has a higher resolution that will enable 1.7x smaller chip features and 2.9x increased chip density.

“With this platform, customers will reduce the numbers of process steps,” the spokesperson continued. “This will be a strong motivation for them to adopt the technology. The platform will offer significant defect, cost and cycle time reductions.”

For context, each of the current EUV machines reportedly has over 100,000 components, and they take 40 freight containers or four jumbo jets to ship. They cost around $140 million each, according to reports.

“They’re not resting on their laurels,” said Miller, adding that the company’s new machine will allow even more specific etchings on silicon chips.

The first High NA machine is still under development and it’s set to be available for early access from 2023 so that chipmakers can start experimenting with it and learn how to make it work.

Customers will then be able use them for their own research and development in 2024 and 2025. They’re likely to be used in high-volume manufacturing from 2025 onward.

In July, Intel CEO Pat Gelsinger said the company expects to be the first recipient of ASML’s High NA machine.

“I would bet that he paid a lot for that right because he’s certainly not the only person that would like to get their hands on this machine first,” Miller said.

Maurits Tichelman, a vice president of sales and marketing at Intel, told CNBC: “High NA EUV is the next major technology change on the EUV roadmap.”

He added: “We are positioned to receive the first production High NA EUV tool in the industry and are driving to enable its introduction in 2025,” Tichelman said. He declined to say how many machines Intel has ordered.

The new High NA EUV tool shifts from a .33 aperture lens to a sharper .55 aperture to enable higher resolution patterning, Tichelman said.

A higher aperture allows for a wider EUV beam inside the machine before it hits the wafer. The wider this beam, the more intense it can be when it hits the wafer, which increases how accurately lines are printed. This in turn enables smaller geometries and much smaller pitches, increasing density.

Alan Priestley, a semiconductor analyst at Gartner, told CNBC that ASML’s new machine will allow chip manufacturers to make chips below three nanometers. The most advanced chips in the world are currently three nanometers and above.

The High NA machines will cost about $300 million, which is twice as much as the existing EUV machines, and they’ll need complex new lens technology, Priestley added.

How chips are made

Chips are often comprised of 100-150 silicon wafers layered on top of one another. Only the most complicated layers need to be made with EUV machines, while more simple layers can be made with DUV machines, which ASML also makes, and other tools.

EUV machines take years to build and ASML can only ship so many of them in any given year. Last year, it sold just 31, according to its financials, and it’s made around only 100 in total.

“Compared to traditional EUV machines, a High NA machine provides a bigger lens capable of printing smaller patterns, enabling efficient manufacturing of more powerful chips,” said Syed Alam, global semiconductor lead at Accenture.

“Chipmakers looking to print smaller features onto chips have had to rely on double or triple patterning techniques, which is time-consuming,” he added. “With a High NA EUV machine, they are able to print these features in a single layer, resulting in a faster turnaround time and better process flexibility.”

Chipmakers will have to strike a balance between better performance and the greater costs associated with more sophisticated machinery, Alam said.

“This is particularly true with High NA EUV machines where larger lenses imply higher acquisition and maintenance costs,” he said.

Free America Network Articles

Leave a Reply

Next Post

'One of two extremes': Chile set for its most divisive election run-off since returning to democracy

A supporter of candidate for Convergencia Social Gabriel Boric shouts slogans at the end of the Presidential Elections on November 21, 2021 in Santiago, Chile. Marcelo Hernandez | Getty Images News | Getty Images Voters in Chile face an era-defining choice. The presidential run-off on Dec. 19 will see citizens […]